DictionaryForumContacts

   English
Terms containing very-high-speed integrated circuit | all forms | exact matches only | in specified order only
SubjectEnglishRussian
avia.hardware description language, incl. Verilog HDL, Very High Speed Integrated Circuit Hardware, Description Language, Analog Hardware Description Languageязык описания аппаратуры HDL (MichaelBurov)
avia.hardware description language, incl. Verilog HDL, Very High Speed Integrated Circuit Hardware, Description Language, Analog Hardware Description Languageязык описания аппаратуры (MichaelBurov)
mil.very high speed integrated circuitсверхбольшая сверхбыстрая интегральная схема
mil.very high speed integrated circuitССБИС
astronaut.very high speed integrated circuit technologyтехника сверхбыстродействующих интегральных схем
ITvery high-speed integrated circuitсверхбыстродействующая интегральная схема (Bricker)
ITvery high-speed integrated circuitССИС
ITvery high-speed integrated circuitсверхбыстродействующая ИС
tech.very high-speed integrated circuitсверхскоростная интегральная схема
nanovery high-speed integrated circuit chipкристалл сверхбыстродействующей ИС
nanovery high-speed integrated circuit electronicsэлектроника на сверхбыстродействующих ИС
progr.very high-speed integrated circuit hardware description languageязык VHDL (ssn)
progr.very high-speed integrated circuit hardware description languageязык описания аппаратного обеспечения на быстродействующих ИС (ssn)
ITvery-high-speed integrated circuitсверхбыстродействующая интегральная схема
Gruzovik, ITvery-high-speed integrated circuitССИС (abbr. VHSIC)
PSPVery-High-Speed Integrated Circuitгосударственная программа США в 1980-х годах, направленная на разработку сверхвысокоскоростных интегральных схем (Shmelev Alex)
ITvery-high-speed integrated circuitсверхскоростная интегральная схема
progr.very-high-speed integrated circuitсверхбыстродействующая ИС (ssn)
progr.very-high-speed integrated circuit hardware description languageязык описания аппаратного обеспечения на быстродействующих ИС (ssn)
progr.very-high-speed integrated circuit hardware description languageязык VHDL (ssn)